[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] How to generate a random std_logic_vector for simulation in VHDL



Hi, everyone:
I have a problem of how to generate a a  random std_logic_vector in
VHDL just for simulation.
usually, I only use verilog, it sames very easy to get random in
Verilog just use a funtion from verilog.
Is there any easy way to get a random std_logic_vector in VHDL, like
use function inside the
ieee library!

Thanks all of you very much!
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml