[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] New! FFT core



Iin is real part of the input complex sequence, while Qin is the image 
part of the input complex sequence.

You sould pay attension to the ouput order, which is radix 4 reversed 
order. And Iout is read part of the output complex sequence, while 
Qout is the image part of the output complex sequence.

If I have sufficient time, I will write an another test bench.

Sorry to confuse you.

Good luck.

----- Original Message ----- 
From: "Saumil Merchant" <msaumil@h... > 
To: <cores@o... > 
Date: Fri, 15 Nov 2002 03:38:21 -0500 
Subject: Re: [oc] New! FFT core 

> 
> 
> Hi, 
> I have been trying to use this fft code, but have been facing some 
> problems. 
> I actually don't really know much of this fft algorithm and I just 
> need to 
> use it considering it as a black box. Is it possible to get a test 
> bench for 
> this. If not could you please explain what are Iin and Qin. I mean 
> how does 
> your code expect the inputs to be? Some help will be really 
> appreciated. 
> 
> Thanks 
> Saumil 
> 
> 
> ----- Original Message ----- 
> From: <sradio@o... > 
> To: <cores@o... > 
> Sent: Tuesday, October 29, 2002 8:13 AM 
> Subject: [oc] New! FFT core 
> 
> 
> > I have uploaded a new radix 4 complex FFT core, unfortunately 
> my 
> > english is very poor, I can not explain myself! 
> > 
> > The position of the core is /cfft/src. 
> > 
> > 
> 
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml