[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] ALDEC Vs. ModelSIM (VHDL Simulator)



I've just had an evaluation of ActiveHDL v5.1 having struggled with Quartus
2 and ModelSIM.

In terms of usability it is a dream in comparison and the simulator was ~8
times faster than quartus (~5 times ModelsimAE). Since the full ModelSIM is
far faster than the Altera Edition I can't comment, but I personally would
recommend ActiveHDL as a lower cot, more user friendly integrated
alternative.

Get a free 10day full license and try for yourself. It will open your eyes.

I love the integration with 3rd party tools. Just a click and Leonardo
synthesis was working. Another to add Quartus place/route. Everything was so
much easier than before :)

Paul
(No relationship with Aldec people !)

----- Original Message -----
From: <ramkripalec@yahoo.co.uk>
To: <cores@opencores.org>
Sent: Friday, February 08, 2002 11:19 AM
Subject: Re: [oc] ALDEC Vs. ModelSIM (VHDL Simulator)


>
>
> ----- Original Message -----
> From: Jimmy Hui <Jimmy_Hui@a... >
> To: "'cores@o... '" <cores@o... >
> Date: Tue, 4 Apr 2000 10:33:11 -0700
> Subject: [oc] ALDEC Vs. ModelSIM (VHDL Simulator)
>
> >
> >
> > Has anyone used the ALDEC  VHDL simulator (current version is 3.6,
> > www.aldec.com free eval)?  How is it compared to the one by
> > MODELSIM (Model
> > Technology)?
> >
> > Thanks,
> >
> > Jimmy
> >
> --
> To unsubscribe from cores mailing list please visit
http://www.opencores.org/mailinglists.shtml
>

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml